Nikon Corporation (Nikon) announced it is currently developing the next-generation NSR-S636E ArF immersion scanner, which will deliver superior overlay accuracy and ultra-high throughput to support manufacturing of the most critical semiconductor devices. Product sales are scheduled to begin in 2023.

As the digital transformation (DX) accelerates, there is an essential need to process and communicate tremendous volumes of data very quickly. High-performance semiconductors are imperative to satisfy these requirements, and semiconductor device technology is progressing with a simultaneous focus on circuit pattern miniaturization as well as 3-dimensional (3D) device structure development.

The NSR-S636E features an enhanced inline Alignment Station, or iAS, which is a wafer pre-measurement module integrated between the coater/developer unit and the lithography scanner. The S636E and iAS utilize sophisticated multi-point alignment measurement and high order correction functions that enable device makers to achieve the stringent overlay accuracy necessary for 3D device structures, while also maximizing immersion scanner productivity. The NSR-S636E is well-suited for cutting-edge semiconductor manufacturing including logic and memory devices, CMOS image sensor applications and more.

Nikon is committed to providing industry-leading lithography solutions like the NSR-S636E immersion scanner that enable customers to advance semiconductor manufacturing and help drive the digital transformation.