Hong Kong – DH announces inspection of false ceiling at CHP Infection Control Branch working room

DH announces inspection of false ceiling at CHP Infection Control Branch working room

*************************************************************************************


     The Department of Health (DH) today (March 7) announced that during a routine maintenance inspection of the false ceiling area, concrete pieces were found left inside the false ceiling area in a working room of the Infection Control Branch of the Centre for Health Protection (CHP) at the CHP building. The concrete pieces have not damaged the false ceiling or fell further into the room due to proper holding capacity of the false ceiling. No visitors have stayed in the room recently and no casualty was reported. The DH is actively following up on the situation.

     The room is located at the ground floor of the CHP building at 147C Argyle Street, Mong Kok. Government engineering staff carried out routine maintenance inspection at the false ceiling area and air conditioning system on March 3 and found four pieces of concrete, with the biggest one sized at about 0.65 metres by 0.45 metres, inside the false ceiling area. Owing to proper holding capacity of the false ceiling, all of the concrete pieces did not fall into the room.

     Upon learning of the incident, the DH has contacted the Architectural Services Department (ArchSD) immediately for an urgent inspection and maintenance, also requested a thorough inspection by the ArchSD against the ceiling of all DH’s premises located in the building. It is noted today that the inspection work against relevant floors of the building has been initially completed and no concrete pieces have been found. Thorough inspection is expected to be completed by this week.

Japan – Hitachi High-Tech Launches Dark Field Wafer Defect Inspection System DI2800, Achieving High-Sensitivity 100% Inspection for Semiconductor Devices in the IoT and Automotive Fields

Hitachi High-Tech Corporation announced the launch of the Hitachi Dark Field Wafer Defect Inspection System DI2800, a critical component in any semiconductor manufacturer’s metrology capabilities. The DI2800 is a high-speed metrology system designed to identify defects and particles on patterned wafers up to 8 inches (200 mm) in diameter. With its high throughput and performance, the DI2800 can help ensure device reliability and safety, particularly for highly sensitive applications such as Internet of Things (IoT) and automotive fields where 100% inspection is required.

Hitachi Dark Field Wafer Defect Inspection System DI2800

Development Background

With the rise of next generation communication networks (5G) and accelerated adoption of electric vehicles in recent years there has been an increase in demand for semiconductor devices in the IoT and automotive fields where reliability and safety are paramount. In conventional semiconductor device manufacturing, spot-check inspections are performed for the purposes of process management and yield improvement. However, for semiconductor devices used in the IoT and automotive fields, 100% inspection must be carried out to help identify defective products during manufacturing to ensure high reliability and safety. To serve these fields a wafer defect inspection system must have the ability to perform 100% inspection with high sensitivity at high speed.

Key Features

Hitachi High-Tech has developed Dark Field Wafer Defect Inspection System DI2800 to respond to these market needs. This product uses scattering-intensity simulation technology to optimize the illumination and detection optics, enabling highly sensitive inspection of patterned-wafer defects developed during the manufacturing process. It has a detection sensitivity of 0.1-micron standard particle size on mirrored wafers. This performance is even possible on devices as small as 0.3-mm square, which has previously proved challenging due to sensitivity and data processing limitations. With a highly optimized inspection sequence, the DI2800 is capable of throughputs of over 40 200-mm wafers per hour.

Future Initiatives

Hitachi High-Tech will provide this product to customers in combination with the Advanced CD Measurement SEM CS4800 and 3D SEM CT1000, which are already on the market, meeting the diverse metrology needs mass production of semiconductor devices for the IoT and automotive fields. Going forward, Hitachi is committed to product improvement by leveraging data collected from all three product lines to offer new data-based, value-added solutions, contributing to the improved reliability and safety of semiconductor devices in these fields.

Hitachi High-Tech is working to meet customers’ needs in metrology and inspection for the R&D and mass production of semiconductor devices by supplying electron beam-based products such as traditional CD-SEM and wafer inspection systems based on optical technologies. Hitachi High-Tech will continue to provide innovative solutions for the upcoming technology challenges. Hitachi High-Tech will contribute to the development of cutting-edge technologies by creating new social and environmental value in collaboration with its customers.

About Hitachi High-Tech

Hitachi High-Tech, headquartered in Tokyo, Japan, is engaged in activities in a broad range of fields, including manufacture and sales of clinical analyzers, biotechnology products, and analytical instruments, semiconductor manufacturing equipment and analysis equipment. and providing high value-added solutions in fields of social & industrial infrastructures and mobility, etc. The company’s consolidated revenues for FY 2021 were approx. JPY 576.8 billion [USD 5.1 billion]. For further information, visit http://www.hitachi-hightech.com/global/

Copyright ©2022 JCN Newswire. All rights reserved. A division of Japan Corporate News Network.

Hong Kong – DH’s proactive inspection against illegal sale of alternative smoking products (with photos)

DH’s proactive inspection against illegal sale of alternative smoking products (with photos)

******************************************************************************************


     The Department of Health (DH) today (April 30) said that its Tobacco and Alcohol Control Office (TACO) will make tremendous efforts to implement and enforce the new legislation on alternative smoking products (ASPs).



     The Smoking (Public Health) (Amendment) Ordinance 2021 comes into operation today. The Ordinance prohibits the import, promotion, manufacture, sale, and possession for commercial purposes of ASPs. Offenders are subject to a maximum fine of $50,000 and imprisonment for six months.



     A spokesman for the DH said that Tobacco and Alcohol Control Inspectors have visited over 230 retail outlets of ASPs before the effective date of the Ordinance, in which a few of them were found selling ASPs. The staff of the shops were reminded that the sale of ASPs is prohibited under the new legislation and urged to comply with the law. Tobacco and Alcohol Control Inspectors conducted inspections today to these premises. So far, no violation was detected.



     “The ban covers electronic smoking products, heated tobacco products, herbal cigarettes, and their accessories. Starting today, Tobacco and Alcohol Control Inspectors will investigate complaints and carry out enforcement actions accordingly. Apart from inspections, the TACO will also arrange covert operation, and joint operation with other law enforcement units,” the spokesman continued.



     According to the Ordinance, import of an ASP by way of parcels, cargoes, or bringing in by incoming travellers is prohibited. Articles carried by persons in transit at the Hong Kong International Airport without passing through immigration control, air transhipment cargoes, or articles staying on an aircraft or in a vessel during transit, are exempted. The DH will work closely with the Customs and Excise Department to guard against illegal import of ASPs.



     Moreover, under the Ordinance, no person may smoke or carry an activated ASP in a statutory no smoking area. Offenders will be issued with a $1,500 fixed penalty notice. From January 2021 to March 2022, 166 fixed penalty notices/summonses were issued to persons who smoke ASPs in statutory no smoking areas. Tobacco and Alcohol Control Inspectors will continue to conduct inspections in statutory no smoking areas to ensure compliance with the smoking ban.



     The new legislation aims to prevent access to these harmful and new smoking products. The DH reminds members of the public and stakeholders to comply with the new law. The public is also urged to adopt a smoke-free healthy lifestyle. Members of the public, incoming travellers and the traders can visit the TACO website (www.taco.gov.hk) to learn more about the provisions of the new legislation. For enquiries or complaints, please call the TACO’s hotline 2961 8823 or 1823.

Hitachi High-Tech Develops the Electron Beam Area Inspection System GS1000 to Meet Increased Demand for Inspection and Massive-Metrology in EUV Applications

Hitachi High-Tech Corporation today announced the Development of its Electron Beam Area Inspection System GS1000. This, newly developed tool, offers precise and fast e-beam inspection by using common platform, which is based on proven Hitachi High-Tech’s high-speed inspection SEM’s, Hitachi High-Tech’s expertise in market leading CD-SEM(1) technology, and high-speed and massive measurements.

Electron Beam Area Inspection System GS1000

Demand for the cutting-edge semiconductor devices mass-production is being drastically increased, driving introduction of EUV Lithography to enable smaller semiconductor devices. Hitachi High-Tech is contributing to the increased productivity in modern semiconductor industry by providing fast e-beam GS1000 system to facilitate massive metrology over a wide inspection area.

Development Background:

Shrinking of semiconductor device nodes is continuing with cutting-edge device manufacturers introducing EUV(2) technology, which is capable of quick and extremely precise lithography. The device manufacturers are beginning to utilize this technology in the mass production of 5nm node devices and the development of 3nm node devices. As circuit pattern dimensions manufactured using EUV lithography are approximately half of the size of those produced by ArF(3) lithography, systems that can inspect and measure these smaller patterns reliably, accurately and in a repeatable manner have become essential to managing production lines and yields. Particularly, quality assurance of advanced EUV masks to reduce variations in circuit dimensions and randomly occurring microscopic stochastic*4 defects characteristic of EUV lithography becomes of an utmost importance. Consequently, the need for high-throughput, high-resolution inspection and measurements is increasing in line with the expansion of number of inspection targets.

Hitachi High-Tech is responding to this increased demand for high-speed, sensitive inspection and measurements over a wide area and is launching GS1000 system to fulfil the needs of semiconductor device mass-production market.

Key Features:
GS1000 is an advanced conventional Electron Beam Inspection (EBI(5)) system. It is a fusion of a high-performance electron optical system and a high-speed, large-capacity data processing system, which provides solutions to the challenges that arise when introducing EUV lithography to semiconductor device mass-production.

This system has the following key features:

1. New technology capable of a high-speed, wide-area e-beam inspection

By using optical aberration correctors developed through advanced electron optical system designs, wafers can be imaged by electron beam with no degradation of resolution within a wide area of the electron beam movement, enabling high-precision inspection and measurements over a wide area. This system uses a combination of a fast-changing field of view using beam shift and a sensitive inspection technology to enable high-precision, wide-area scans 100 times faster than conventional CD-SEM tools.

2. High-speed, large-capacity image processing system

Dedicated high-speed image processing system provides ultra-fast data transfer enabling high throughput, performing real-time D2DB measurements(6) through parallel processing using high-speed 4K imaging and image transfer servers. It also introduces D2AI algorithmic inspection(7), utilizing AI(8) technology to handle processing of the vast amounts of data created by the increasing number of points being inspected and measured to meet the needs of fast detection of process variation and microscopic defects.

Hitachi High-Tech is working to meet customers’ needs in metrology and inspection for the R&D and mass production of semiconductor devices by supplying electron beam-based products such as traditional CD-SEM and wafer inspection systems based on optical technologies. Hitachi High-Tech will continue to provide innovative solutions for the upcoming technology challenges. Hitachi High-Tech will contribute to the development of cutting-edge technologies by creating new social and environmental value in collaboration with its customers.

(1) CD-SEM: Scanning Electron Microscope (SEM) for measuring the microscopic circuit patterns on semiconductor wafers. Used for inspections on semiconductor devices development and production lines, these systems are essential for yield management.
(2) EUV (Extreme Ultraviolet): An extreme ultraviolet light source with a wavelength of 13.5 nm.
(3) ArF: An ArF excimer laser (wavelength 193 nm) light source.
(4) Stochastic defects: Defects that occur randomly, which have been a particular problem in the development of EUV lithography technology.
(5) EBI: Electron Beam Inspection: Wafer inspection equipment that uses electron beams.
(6) D2DB Measurement: Die to Database Measurement: An algorithmic procedure that performs comparative inspections and measurements between areas printed of the wafer (the die) and the configured design data for the same area.
(7) D2AI Algorithmic Inspection: Die to AI Inspection: An algorithmic process for inspecting areas of the wafer (the die) using AI.
(8) AI: Artificial Intelligence. A field of computer science that artificially recreates assorted human perceptions and human intelligence using the concepts of calculation and computation.

About Hitachi High-Tech

Hitachi High-Tech, headquartered in Tokyo, Japan, is engaged in activities in a broad range of fields, including Analytical & Medical Solutions (manufacture and sales of clinical analyzers, biotechnology products, and analytical instruments), Nano-Technology Solutions (manufacture and sales of semiconductor manufacturing equipment and analysis equipment), and Industrial Solutions (providing high value-added solutions in fields of social & industrial infrastructures and mobility, etc.).

The company’s consolidated revenues for FY 2020 were approx. JPY 606.3 billion [USD 5.7 billion]. For further information, visit http://www.hitachi-hightech.com/global/.






Topic: Press release summary